Ay: Ağustos 2012

  • VHDL ile “moving average” filtre

    Analog bir işareti yumuşatmanız, küçük genlikli gürültülerden kurtarmanız gerektiğinde yapabileceğiniz en basit şeylerden biri “moving average” filtreden geçirmektir. Türkçe “yürüyen ortalama” diyebileceğimiz bu filtre basitçe şöyle çalışır. 4 seviyelik (tab) bir filtre düşünelim. Böyle bir filtrenin çıkışında birim anda en son 4 örneğin ortalaması görünür. t=0 anı için filtre çıkışını şöyle yazabiliriz. q(0) = (d(0)+d(-1)+d(-2)+d(-3))/4 […]